fbpx
Cargando. Por favor espere...

Categorías

Tags

Location

ALU Unidad Aritmetica Logica


 

Para la realización de este laboratorio se genero un código en VHDL; en este se realiza una ALU (la unidad lógico aritmética) la cual tiene dos entradas de vectores de 4 bits y una salida también de 4 bits con una entrada de selección de tres bits con los cuales podemos generar las seis operaciones necesarias para cumplir con el laboratorio, tres aritméticas( suma, resta y multiplicación ) y tres lógicas (and, xor y xnor).
Para el código de la ALU se crean señales para manejar los vectores de entrada y salida de datos y una sentencia case para seleccionar cada operación, se revisa sintaxis, se crea un esquemático y se añade el símbolo. Ademas se crea en VHDL una memoria de seis registros los cuales se puedan organizar de acuerdo a como se requiera el orden de las operaciones  de este, se revisa la sintaxis y se crea el símbolo interconectado  con la entrada de selección de la ALU.

.

Detalle Más artículos Más artículos Me gusta 378

Share it on your social network:

Or you can just copy and share this url
Artículos Relacionados
Este sitio utiliza cookies y otras tecnologías para que podamos mejorar su experiencia.    Más información
Privacidad

    He leído y acepto el Aviso Legal y la Política de Privacidad.